NoSQ: Store-Load Communication without a Store Queue

Loading...
Thumbnail Image
Penn collection
Departmental Papers (CIS)
Degree type
Discipline
Subject
cisc
risc
vlwi architectures
microarchitecture
pipeline processors
Funder
Grant number
License
Copyright date
Distributor
Related resources
Author
Contributor
Abstract

The NoSQ microarchitecture performs store-load communication without a store queue and without executing stores in the out-of-order engine. It uses speculative memory bypassing for all in-flight store-load communication, enabled by a 99.8 percent accurate store-load communication predictor. The result is a simple, fast core data path containing no dedicated store-load forwarding structures.

Advisor
Date Range for Data Collection (Start Date)
Date Range for Data Collection (End Date)
Digital Object Identifier
Series name and number
Publication date
2007-02-01
Journal title
Volume number
Issue number
Publisher
Publisher DOI
Journal Issue
Comments
Copyright 2007 IEEE. Reprinted from IEEE Micro, Volume 27, Issue 1, February 2007, pages 106-113. Publisher URL: http://dx.doi.org/10.1109/MM.2007.17 This material is posted here with permission of the IEEE. Such permission of the IEEE does not in any way imply IEEE endorsement of any of the University of Pennsylvania's products or services. Internal or personal use of this material is permitted. However, permission to reprint/republish this material for advertising or promotional purposes or for creating new collective works for resale or redistribution must be obtained from the IEEE by writing to pubs-permissions@ieee.org. By choosing to view this document, you agree to all provisions of the copyright laws protecting it.
Recommended citation
Collection